У виробництві напівпровідників 2 нм процес є наступним МДН-транзистором (транзистором метал-діелектрик-напівпровідником), який наступним після 3 нм техпроцесу. Станом на 2021 рік очікується, що TSMC почне виробництво 2 нм десь після 2023 року; Intel також прогнозує виробництво до 2024 року.

Термін "2 нанометри" або альтернативний "20 ангстрем" (термін, використовуваний Intel) не має відношення до будь-якої фактичної фізичної характеристики транзисторів. Це комерційний термін, використовуваний в напівпровідниковій промисловості для позначення нового, покращеного покоління кремнієвих напівпровідникових чіпів з погляду збільшення щільності транзисторів, підвищення швидкості та зниження енергоспоживання.[1][2]

Передумови ред.

В кінці 2018 року голова ради директорів TSMC Марк Лю передбачив, що масштабування чіпів продовжиться до 3 нм і 2 нм[3]; однак станом на 2019 рік інші фахівці в області напівпровідників не визначились з тим, чи можуть техпроцеси з товщиною менш як 3 нм бути реалістичними[4].

TSMC розпочав дослідження 2 нм у 2019 році.[5] Очікується, що TSMC здійснить перехід від типів транзисторів FinFET до GAAFET при переході від 3 нм до 2 нм. [6]

Дорожня мапа Intel на 2019 рік запланувала потенційно еквівалентні техпроцеси 3 нм і 2 нм на 2025 і 2027 роки відповідно.[7] У грудні 2019 року Intel оголосила про плани випуску 1.4 нм виробництва у 2029 році. [7]

У серпні 2020 року TSMC розпочала будівництво науково-дослідної лабораторії з технології 2 нм в Сіньчжу, яка, як очікується, буде частково введена в експлуатацію до 2021 року.[8] У вересні 2020 року (SEMICON Taiwan 2020) повідомлялося, що голова ради директорів TSMC Марк Лю заявив, що компанія побудує завод для техпроцесу 2 нм в Сіньчжу в Тайвані, а також може встановити виробництво в Тайчжуні залежно від попиту.[9] За даними Taiwan Economic Daily (2020), очікувалося, що виробництво високоприбуткових ризикованих виробів почнеться в кінці 2023 року.[10][11] У липні 2021 року TSMC отримала урядовий дозвіл на будівництво заводу з виробництва 2 нм; за даними Nikkei, компанія розраховує встановити виробниче обладнання для 2 нм до 2023 року. [12]

В кінці 2020 року сімнадцять країн Європейського союзу підписали спільну декларацію про розвиток всієї напівпровідникової промисловості, включаючи розробку техпроцесів розміром до 2 нм, а також розробку і виробництво власних процесорів, виділивши на це до 145 мільярдів євро.[13][14]

У травні 2021 року IBM оголосила, що виготовила транзистор 2 нм з використанням трьох нанопластів шару кремнію з довжиною ключів (gate) 12 нм. [15] [16] [17]

У липні 2021 року Intel оприлюднила дорожню мапу технологічних процесів з 2021 року. Компанія підтвердила свій 2-нм технологічний процес під назвою Intel 20A, [19] з буквою «A» щодо ангстрема, одиниці, еквівалентної 0,1 нанометра.[20] Водночас вони представили нову схему іменування вузлів процесу, яка узгоджувала назви їхніх виробів з аналогічними позначеннями їхніх основних конкурентів. [21] Очікується, що процесор Intel 20A стане першим, хто перейшов від FinFET до транзисторів Gate All-Round (GAAFET ); Версія Intel називається «RibbonFET». [21] Їхня дорожня мапа на 2021 рік передбачала введення вузла Intel 20A у 2024 році[21]

Менш як 2 нм ред.

Intel запланувала продукти 18A (еквівалент 1,8 нм) на 2025 рік.[20]

Посилання ред.

 

  1. TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is". Архів оригіналу за 17 червня 2020. Процитовано 20 квітня 2020.
  2. Samuel K. Moore (21 липня 2020). A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric. IEEE Spectrum. IEEE. Архів оригіналу за 2 грудня 2020. Процитовано 20 квітня 2021.
  3. Patterson, Alan (12 Sep 2018), TSMC: Chip Scaling Could Accelerate, www.eetimes.com, архів оригіналу за 24 вересня 2018, процитовано 23 вересня 2020
  4. Merritt, Rick (4 березня 2019), SPIE Conference Predicts Bumpy Chip Roadmap, www.eetasia.com, архів оригіналу за 27 червня 2019, процитовано 23 вересня 2020
  5. Zafar, Ramish (12 червня 2019), TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report, архів оригіналу за 7 листопада 2020, процитовано 23 вересня 2020
  6. Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips, www.digitimes.com, 21 вересня 2020, архів оригіналу за 23 жовтня 2020, процитовано 23 вересня 2020
  7. а б Cutress, Ian, Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm, www.anandtech.com, архів оригіналу за 12 січня 2021, процитовано 23 вересня 2020
  8. Wang, Lisa (26 серпня 2020), TSMC developing 2nm tech at new R&D center, taipeitimes.com, архів оригіналу за 24 січня 2021, процитовано 23 вересня 2020
  9. Chien-Chung, Chang; Huang, Frances (23 вересня 2020), TSMC to build 2nm wafer plant in Hsinchu, focustaiwan.tw, архів оригіналу за 25 жовтня 2020, процитовано 23 вересня 2020
  10. Udin, Efe (23 вересня 2020), TSMC 2NM PROCESS MAKES A SIGNIFICANT BREAKTHROUGH, www.gizchina.com, архів оригіналу за 19 жовтня 2021, процитовано 3 листопада 2021
  11. 台积电2nm工艺重大突破!2023年风险试产良率或达90% (chinese) , 22 вересня 2020, архів оригіналу за 24 вересня 2021, процитовано 3 листопада 2021
  12. Taiwan gives TSMC green light for most advanced chip plant. Nikkei Asia (en-GB) . Архів оригіналу за 4 листопада 2021. Процитовано 24 серпня 2021.
  13. Dahad, Nitin (9 грудня 2020), EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology, www.eetimes.eu, архів оригіналу за 10 січня 2021, процитовано 9 січня 2021
  14. Joint declaration on processors and semiconductor technologies, EU, 7 грудня 2020, архів оригіналу за 11 січня 2021, процитовано 9 січня 2021
  15. Nellis, Stephen (6 травня 2021), IBM unveils 2-nanometer chip technology for faster computing, Reuters (англ.), архів оригіналу за 7 травня 2021, процитовано 6 травня 2021
  16. Johnson, Dexter (6 травня 2021), IBM Introduces the World’s First 2-nm Node Chip, IEEE Spectrum, архів оригіналу за 7 травня 2021, процитовано 7 травня 2021
  17. 12nm gate length is the dimension defined by the IRDS 2020 to be associated with the "1.5nm" process node:
  18. Cutress, Ian (26 липня 2021), Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!, www.anandtech.com, архів оригіналу за 3 листопада 2021, процитовано 3 листопада 2021
  19. Under Intel's previous naming scheme this node was known as 'Intel 5nm'.[18]
  20. а б Cutress, Dr Ian. Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!. www.anandtech.com. Архів оригіналу за 3 листопада 2021. Процитовано 27 липня 2021.
  21. а б в Santo, Brian (27 липня 2021), Intel Charts Manufacturing Course to 2025, www.eetimes.com, архів оригіналу за 19 серпня 2021, процитовано 3 листопада 2021

Подальше читання ред.